Microstation-Bentley

2 zidule ndi Microstation: kukonza kuonongeka owona ndi mavuto ndi DWG 3D

Vuto 1. Fayilo ya DGW 3D imatsegulidwa ngati 2-dimensional yokha

Nthawi zambiri, kuti mutsegula fayilo ya 3D ya mawonekedwe a DWG, ndi Microstation, imatsegula ngati ili ndi miyeso ya 2.

Izi zimachitika chifukwa Microstation kawirikawiri yayimilira muzochita zake, kuti mbewu yambewu (mbewu), yofanana ndi template ya AutoCAD, idakonzedweratu ndi kukula kwa 2.

Yankho lake ndi losavuta,

Kupyolera mu njira zosavuta izi, nthawi zonse mutha kutsegula ma DWG owonjezera mafayilo monga

iwo ndi:

  1. Tsatirani MicroStation ndi kupeza MicroStation Manager dialog box (Administrator). Pezani ku fayilo ndipo sankhani (popanda kutsegula).

Tsopano pezani bokosi la {Options} pansi pa bokosi lachidule la MicroStation Administrator.

  1. Sankhani bolodi lotchedwa "Pangani 2D Models ya Model Space" ndipo yesani {OK}.
  2. Tsopano tsegula DWG file kuchokera ku MicroStation Administrator.

2 chinyengo. Konzani fayilo ya MicroStation

Mavuto omwe amachititsa kuti MicroStation iwonongeke kapena kuletsa mafayilo opanga kuti asatsegulidwe atha kutenga masiku angapo ogwira ntchito ndipo amatha kukhala kumapeto kwa sabata. Zinthu za Mzimu kapena zinthu zomwe ndi zovuta kusankha (kapena kusuntha, kukopera, kapena kufufuta), kukonza mapu, zosintha kuti muwonetse zovuta, ndi zovuta zomwe zimabwera; nthawi zambiri mutasuntha mafayilo opangidwa kuchokera ku V7 kupita ku V8 kapena V8i kapena kutumizira kunja pakati pa mafayilo a DXF / DWG.

Zomwe zimachitika

  • Bokosi la "MicroStation Problem Notification" likupezeka pamene mukugwira ntchito pa fayilo yopanga.
  • Ili ndi mafayilo apanga omwe amachititsa chidwi pamene akulowera kapena kutuluka.
  • Onaninso bokosi lachidziwitso losonyeza kuti MicroStation yakhala ndi vuto ndipo iyenera kutsekedwa.
  • Kuthamanga lamulo "Lolani zonse" mu fayilo yopanga ndipo zojambula zonse zimakhala kadontho kakang'ono kamene kali pakhomo.
  • Amapeza kuti zinthu zina zatha mwachinsinsi.
  • Pezani zinthu zomwe ziri zovuta kusankha kapena kuchotsa.
  • Ili ndi ma fayilo ena omwe simungathe kuwatsegula.
  • Dziwani zowonjezera zomwe zikuwoneka kuti zasokonekera ku Level Manager.
  • Zithunzi zonse zimatheratu kuchokera ku fayilo yopanga zojambula mu V8 kapena laibulale ya maselo.
  • Mukukumana ndi zovuta pakujambula kapena kusuntha mafayilo ena apangidwe mu polojekiti.

Pamapeto pake, palibe china choposa fayilo yoipa.

Konzani ndi FileFixer

FileFixer ndi imodzi mwa zidole zomwe zimakondweretsa kwambiri, zomwe zimapangidwira kukonzanso mtundu uliwonse wa chiphuphu kapena chikhalidwe chosagwiritsidwa ntchito chomwe chingapezeke m'mafayilo a MicroStation. FileFixer imapewa "zisonyezo" zotchulidwa pamwambapa. Pulojekiti yomwe ili ndi ndalama zambiri m'maola ndi kudzipereka, ndiyofunika kutsitsa.

Kuti mudziwe momwe mungapezere fayilo ya FileFixer kwa Microstation V8 kapena Microstation V8i, lowetsani deta yanu ndipo wina angakukhudzani.

[contact-form-7 id=”20743″ title="ContacAxiom”]

Golgi Alvarez

Wolemba, wofufuza, katswiri wa Land Management Models. Iye watenga nawo gawo pakukonza ndi kukhazikitsa zitsanzo monga: National System of Property Administration SINAP ku Honduras, Model of Management of Joint Municipalities ku Honduras, Integrated Model of Cadastre Management - Registry ku Nicaragua, System of Administration ya Territory SAT ku Colombia. . Mkonzi wa blog ya chidziwitso cha Geofumadas kuyambira 2007 ndi mlengi wa AulaGEO Academy yomwe imaphatikizapo maphunziro oposa 100 pa GIS - CAD - BIM - Digital Twins mitu.

Nkhani

Kusiya ndemanga

Anu email sati lofalitsidwa. Amafuna minda amalembedwa ndi *

Chongani Komanso
Close
Bwererani pamwamba